-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 dd124220fc4bc667b01f957d595d27c21009950c6f02091bb2fb68dc5fb9c17b Makefile 5fab1861b29f1802b51055ea6efdde6e1e0f997fbd5160fd324542655357ecd1 avm_cs.c 7396cabaea87fbf4a2c2efcebd2518a6c02d4f86cf15451ec8b1de5f60f2d486 avmcard.h 2444a7acc3ea8e54b8ece78b452151fe22ff03faf2c367b822374ca01e2c4692 b1.c 0e964b3d15bc1cdf5aa179e6a1a06c8da950580ce1cec12c4769a96dd658f747 b1dma.c 1ea7f2b537b66b59c067311731725cd71798cc7cd618bfa374b4d2e53e6d9b33 b1isa.c 651310296524236f07dea46ff78e296f6ce8494130711b1889b25c300cd1519a b1pci.c c3e541430102c025a3fb1cfe4b141be7265349977cd66cac70bfdb23042e4b61 b1pcmcia.c d3ae5c92a217c199e414120d592233dd7225e4760fcb58a7bfa905195c0e4f94 c4.c ba8a1d26a2bab839684f74a51fc1797a46cff0fbea03e013610d8f31eef91789 capi.c f94e92c3b04ed4a0e4b625c5caf06148e9259dc89315b7965c20535c42ed8634 capicmd.h b3b5c86a60133266b5f7a0f83631f22147637abf699f85e1e1067136fa29ba37 capidev.h 181c6aa98848b77b056b9b61dc1cebc87f91612f3dd27d6fd20c9f05c6eb3209 capidrv.c d3721c448ba42dff9a54cdfc117a2c778b47152e728eb633f8e0f9b633729857 capidrv.h e9d2c485798ec172bfa5e39ac11d43f63838d1973855938f6447a8d89dc69b7c capifs.c 8a402668f1682f068601beb817d1869a666b158a23344c6593cac374b7721233 capifs.h db65f70fc3629fff17a38b4920cfc5ccf32c5f6f73df7cc5ca3f87baf8ee3f95 capilli.h 3e5f9902f2b3c0766f7424db587e19392610363a2644dfa7399204512b3ea5a2 capiutil.c 643b98ec82c18827b4dc94e5db8292a1f652a79e8ed70142379c5240c116e757 capiutil.h beaf0b6cc95755101783e02478fee7413b3d68e2ada5ee05fb76f667b3639899 kcapi.c e88854419e9c2156e6ec5b1e46f8b6f4f22d2e43c8d3e0f949347994cd7d66df t1isa.c 4cfe948c935e691d0790ac3105c1a7d2727b4249481af42efe1375da2d7d087d t1pci.c -----BEGIN PGP SIGNATURE----- Version: GnuPG v1.4.12 (GNU/Linux) iQIcBAEBCAAGBQJTOyrlAAoJEGMtOgZYnaax3sMQAKjKsrTy4ZOc9Vw16npQOQ9R oZ8BgtBptALaV+cWTvkDMesHTdczWVVZ7l4aWQSepKEGUZOdwF/EHwOoFrAiHIWR ZKDMNX7MKh8k69Pd4OnsUPlAQlLoAq3qhcs97ytVPNuci24cmleE8Y/VhjriTzs9 jMMLvBZb3F8nIUqdPxkb7cMk5Qv6c5UV3Re7GqpFg0qUebOTzjThkzvLlGHpnsmD y8UyySQtIPo5m4ZcU7CXR6dpwLMYt1qg4r+75J3ZLV9VIH8oPJkfo6ZKb9aKMdXA oXRtVreJI8LvX7/5EYqKK3kHhXIaAVw+qxy6dIPs2xlcWib6d84TuixE4EyF8sHe c++vzV2lEj3fV2IslWPE7KdyqMbdG1PzeOLwge7SwWgfCbOKqLhHXf403pEqI8BP XIQZ9tVmYV69vt57b7oh4zgPqbeKro5NhScAZqdK1rdhtSxqBF7wtkaln4LoRfjY ozsARileWtpooCDHJxcRuupZZssOKVGU5fskXoTjzc9vUzjmLfLeah6KoQ5mac/k zlTuudn1CEQIJtF7/wJ2cNr4Fcv7lvXU7PMadexjNAXKvYMCeQMdnj9a/nOQzy7y abU5zu5pHQj3s9suN1W9Jb0kzQA234WaE4CHjVXdtXjik+fKvD0KaWjZt665jhSV VinraPcrVgX1y7zhB6PG =jTWU -----END PGP SIGNATURE-----